ModelSim SE Installation

From MCEWiki

This page describes the proceedure for installing the latest version of ModelSim available from the ModelSim website, and compiling the Altera .vhd files that are necessary to create simulation libraries.

Download ModelSim SE


Licensing ModelSim SE

Method 1:

  • When asked by the installation window if you need to install a new license, click 'No'. A License Wizard may then start automatically. If one doesn't, try the following.

Method 2:

  • Load 'Start->All Programs->ModelSim X.Yz->Licensing Wizard'
  • Click 'Continue'
  • Type '1700@rwinsecurity.triumf.ca' or 'licensing.triumf.ca' in the dialog box
  • Click 'Ok'

Method 3:

  • Browse to 'Start->Control Panel->System Properties'. Select the 'Advanced' tab. Click on the 'Environment Variables' button. Add the following 'User Variables'.
    • LM_LICENSE_FILE=1700@trwinsecurity.triumf.ca
    • MGLS_LICENSE_FILE=1700@trwinsecurity.triumf.ca


Set up ModelSim SE

When installing Modelsim6.6c and Quartus10.0SP1 on Win7-64bit, I took some notes here What is listed below predates my installation, but it is more or less true.

  • Note that the following versions work together: Quartus 9.0 SP2 and ModelSim 6.3b. Have both of these programs installed cleanly on your system before proceeding any further.
  • Retrieve the quartus90_modelsim63b tag for VHDL files in CVS_ROOT\mce\cards. Updating the CVS repository to HEAD may cause compilation errors in ModelSim, because the HEAD is not necessarily working code.
  • Retrieve the quartus90_modelsim63b tag for ModelSim script and library files in CVS_ROOT\mce\scripts\modelsim\ -- or whichever tag in that directory that corresponds to the one that you retrieved for the VHDL files above.
  • If necessary, manually edit mce.cr.mti and mce.mpf to reflect correct paths if your repository is not in a standard location. You usually will not have to do this.
  • If you're starting from a pre-existing project file, start ModelSim and go to File->Open. Select 'Project Files (.ini, .mpf)' as the file type, and load CVS_ROOT\mce\scripts\modelsim\mce
  • Navigate to the 'Library' tab of the 'Workspace' pane.
  • If any of the following libraries already exist, delete them and create new ones by the same name. They should initially be empty, but when you compile the project (later), they will become populated with objects. If you are starting from scratch, create a new project in CVS_ROOT\mce\scripts\modelsim\, and create the libraries listed below.
    • work
    • altera
    • altera_mf
    • components
    • lpm
    • sys_param
    • stratixiii
  • Note: only ever delete the libraries listed above. If you delete any of the ones listed below, you will have to re-install ModelSim to regenerate them:
    • ieee
    • modelsim_lib
    • std
    • std_developerskit
    • synopsys
    • verilog
  • Navigate to the 'Project' tab of the 'Workspace' pane.
  • In the top-level of the workspace, you should see the following files:
    • altera_mf_components.vhd (change properties to "altera_mf" library)
    • 220model.vhd (change 'Properties' to "lpm" library)
    • altera_mf.vhd (change 'Properties' to "altera_mf" library)
    • altera_europa_support_lib.vhd (change 'Properties' to "altera" library)
    • 220pack.vhd (change 'Properties' to "lpm" library)
    • stratixiii.vhd (change 'Properties' to "stratixiii" library)
    • stratixiii_components.vhd (change 'Properties' to "stratixiii" library)
    • stratixiii_atoms.vhd (change 'Properties' to "stratixiii" library)
  • If these files do not appear there, copy them from C:\altera\81\quartus\eda\sim_lib or \synthesis (for stratixiii.vhd) to CVS_ROOT\mce\scripts\modelsim\ and add them to the top level of the project.
  • Compile these files using the compile->compile order command. Make sure that in the 'VHDL' tab of the 'Properties' pane, they are not checked for 'Synthesis.'
  • Now change the 'Properties' of these files so that you don't re-compile them every time you compile the rest of the files. Select them all, and right click on one. Select 'Properties...', and click on the 'Do Not Compile' check box under the 'General' tab.
  • If you are starting from a pre-existing project file, you should see a set of folders in the 'Project' tab of the 'Workspace' pane. If you are starting from scratch, create the following project folders by selecting 'File->New->Folder', and in each folder load all the .vhd files that are contained directories in parenthesis by selecting 'File->Add To Project->Existing File...':
    • library (CVS_ROOT\mce\cards\library)
    • cards->bias_card (CVS_ROOT\mce\cards\bias_card)
    • cards->clock_card (CVS_ROOT\mce\cards\clk_card)
    • cards->all_cards (CVS_ROOT\mce\cards\all_cards)
    • cards->readout_card (CVS_ROOT\mce\cards\readout_card)
    • cards->addr_card (CVS_ROOT\mce\cards\addr_card)
    • cards->system (CVS_ROOT\mce\cards\system)
  • Change properties of files in certain folders to be compiled to specific libraries
    • Files from the library->sys_param folder go in the "sys_param" library
    • Files from the library->components folder go in the "components" library
    • Files from the cards folder go in the "work" library
  • Now select 'Compile->Compile Order..' from the menu, and select the 'Auto Generate' button.

Starting a Simulation

  • To add a new simulation configuration, click on 'Project->Add To Project->Simulation Configuration'
  • In the 'Simulation Configuration' window, click on the 'Design' tab, expand the 'work' library, and select 'tb_cc_rcs_bcs_ac'. Change the 'Simulation Configuration Name' to something informative, and change the 'Resolution' drop-down menu to 'ps'.
  • Select 'Compile->Compile Order...' and click on 'Auto Generate'. The project should now compile. If id does not, fix the problems. In some cases you may need to remove files from the project that do not exist anymore. In other cases, you may have to add files to the project that have not yet been added. In still other cases, you may have to get a different card tag. Finally, you may have to fix coding errors. Note: counter.vhd is the only files that compiles with warnings. If you notice warnings during the compilation of any other files, you should fix the problem.
  • Once every file compiles, double click on the simulation configuration file that you created above. The 'Transcript' pane will tell you if there are problems associated with starting the simulation. If there are problems, fix them.