Difference between revisions of "Setting up Altera libraries in ModelSim SE"

From MCEWiki
 
Line 6: Line 6:
  
 
altera
 
altera
 +
 
lpm
 
lpm
  
Line 11: Line 12:
  
 
sys_param     
 
sys_param     
 +
 
components
 
components
 +
 
work
 
work
  

Revision as of 12:18, 20 September 2010

When loading my mpf file from older ModelSim revisions, any compile would fail with error message: Error: library std not found. After contacting Mentor Graphics, it turns out that the path to some directories were previously hardcoded and not anymore. The solution is add the following line to either modelsim.ini (or project.mpf): std = $MODEL_TECH/../std

Now, one needs to recreate libraries as follows: Altera libraries:

altera

lpm

and our own libraries:

sys_param

components

work

Now we need to compile all the models. The source files for Altera models are located in: altera/10.0/quartus/eda/sim_lib/. You need to compile the following:

220model.vhd , 220pack.vhd into lpm library altera_mf_components.vhd, altera_mf.vhd, altera_primitives.vhd into altera

After you compile these once, you may change them to "do not compile".

Good Luck